[www.ed2k.online]下載基地為您提供軟件、遊戲、圖書、教育等各種資源的ED2K電驢共享下載和MAGNET磁力鏈接下載。
設為首頁
加入收藏
首頁 圖書資源 軟件資源 游戲資源 教育資源 其他資源
 電驢下載基地 >> 软件资源 >> 行業軟件 >> 《高性能混合仿真器》(Aldec Riviera-PRO 2009.02)[壓縮包]
《高性能混合仿真器》(Aldec Riviera-PRO 2009.02)[壓縮包]
下載分級 软件资源
資源類別 行業軟件
發布時間 2017/7/11
大       小 -
《高性能混合仿真器》(Aldec Riviera-PRO 2009.02)[壓縮包] 簡介: 中文名 : 高性能混合仿真器 英文名 : Aldec Riviera-PRO 2009.02 資源格式 : 壓縮包 發行時間 : 2009年 地區 : 美國 語言 : 英文 簡介 : 語言:英語 網址:http://www.aldec.com/products/riviera/ 類別:行業軟件 提供ASIC及FPGA設計工具以及混合語言模擬廠商Aldec,日前宣佈新版的R
電驢資源下載/磁力鏈接資源下載:
全選
"《高性能混合仿真器》(Aldec Riviera-PRO 2009.02)[壓縮包]"介紹
中文名: 高性能混合仿真器
英文名: Aldec Riviera-PRO 2009.02
資源格式: 壓縮包
發行時間: 2009年
地區: 美國
語言: 英文
簡介:

語言:英語
網址:http://www.aldec.com/products/riviera/
類別:行業軟件
提供ASIC及FPGA設計工具以及混合語言模擬廠商Aldec,日前宣佈新版的Riviera-PRO可支援SystemVerilog語言的驗證工作,並改善VHDL、Verilog和混合RTL的模擬速度。Riviera-PRO所提供的混合語言模擬環境可支援VHDL、Verilog、SystemVerilog及SystemC的設計。Aldec在台總代理鈦思科技(TeraSoft)表示,Riviera-PRO的模擬技術可支援多個百萬閘(multi-million gate)的硬體語言設計。
新版Riviera-PRO可支援SystemVerilog級數(classes)和字串(strings)架構。這些架構原本用於驗證功能,如Accellera SystemVerilog 3.1a/IEEE Std 1800-2005等特定標準。此外,VHDL RTL的模擬效能提升了30%,而Verilog RTL相較於以往的版本,整體模擬效能在大型設計上則提升了60%。Riviera-PRO可支援3種新的網路版配置,如LV、LVT和LVT-SV;且可在UNIX、Windows以及Linux 32/64位元等作業平台下運作。
Aldec Riviera PRO: 高性能的混合語言仿真工具
Aldec公司的Riviera是業界獨特的單內核VHDL/Verilog和EDIF混合模擬器。包括幾個獨立的應用程式,這些程式能支援VHDL、Verilog和來自一個公用內核EDIF混合仿真、HDL編輯程式、圖解波形取景程式,和庫管理工具。這種工具的特點是能進行源碼調試、中斷點設置、通過檔重排序來進行編譯,並能通過仿真資料直接將VHDL和Verilog及它們關係的詳細顯示出來。
Riviera-PRO採用多種專利技術以提高其仿真性能,是業界最為快速的模擬器之一。Riviera內嵌的HDL編輯器是目前業內最為功能強大的文本編輯器之一,比如關鍵字熱敏(包括結構熱敏)、分視窗操作、自動注釋、格式自動整理、建立結構等等;而且Riviera語法檢查的能力和定位非常準確,它可以定位到具體的字元,同時給出原因及改正方案,這一切都保證了設計師可以更快速的完成代碼的編寫,大大提高了效率。
對於模擬器,非常重要的一點就是要看它對各種標準的支持,尤其是新的標準,Riviera支持所有相關的最新標準,如Verilog HDL 2001/1995、VHDL 1993/87、Vital 2000標準等;另外一點還要關心仿真庫的問題,Riviera包含所有FPGA/PLD廠商的所有庫,並支持所有ASIC廠商的庫,這些庫保證了仿真的正確性、穩定性,且其庫更新非常及時,解決了後顧之憂。對於模擬器除關注仿真庫的豐富與否外,還必須注意模擬器的速度。據統計,使用EDA進行系統設計,其中有90%以上的時間花在電路仿真驗證上,因此提高仿真效率對縮短產品上市時間是關鍵一環。Riviera仿真技術採用多種專利演算法把仿真速度提高了多倍,是目前市場上最快的模擬器之一,仿真速度的問題得到了很好的解決。它採用同一個仿真內核,可以同時做到VHDL、Verilog語言及EDIF網表的混和仿真(包括行為級、RTL級、門級、Timing等的仿真),解決了其他模擬器所無法進行的混合仿真問題。由於是同一內核解決了所有仿真驗證問題,所以只要學一種工具即可,不需要其他輔助工具。
在對設計進行仿真時,必須需要激勵檔,也就是測試向量。Riviera提供了多種加激勵的方法,如寫HDL代碼、在波形上編輯、利用巨集檔案或巨集命令,另外還有業內獨一無二的公式法,只要填寫參數就可實現,非常方便,節省了大量時間。在仿真的過程中Riviera提供了完善的仿真調試手段,設計師可像調試高階語言一樣進行調試,如跟蹤、設置中斷點(包括條件中斷點)單步、觀察副程式、堆疊及進程的執行、操作情況等;在波形觀察/編輯器中設計師可以選擇不同的模式進行觀察、分析;以及多重仿真結果或期望結果之間的比較。
為了在提高團隊設計效率與驗證努力的同時保證上乘設計結果,Riviera內嵌了代碼覆蓋率檢測工具(Code coverage)。確定設計是否已經被完全驗證以及為Sign-off作準備需要精確的測量標準而不是僅憑直覺。解決這種驗證挑戰而不增加設計開發週期的最好方法就是將代碼覆蓋率分析工具集成到設計者的設計流程中。Riviera領先的代碼覆蓋率技術可提供快速準確的衡量以及應用於HDL設計仿真測試的效率,它可以準確給出測試向量對設計測試的覆蓋率,甚至到每一條語句被執行了多少次,從而有效地保證獲得一個出眾的驗證結果。通過代碼覆蓋率工具的覆蓋率資料庫和強大的GUI介面,設計者可以鑒定未測試的HDL區域,確定最有效的測試向量。這樣設計師在設計驗證階段就可以對設計進行有效的驗證,避免測試中以點帶面的事情發生。設計師可以很方便的進入那些沒有充分認證的模組內從而分析哪些特定聲明,結構和條件是被遺失的,以及每一條語句被執行的次數,然後根據需要修改測試向量或源代碼,同時還可以此來確定代碼中的冗餘,精簡設計,得到最優的HDL代碼。
另外,Riviera環境下的"Design Profiler"工具可以很方便地探測到設計中每個模組(包括進程、設計單元、副程式等等)在仿真過程中所花費的時間、時鐘數以及所占的比重等等;設計師可以很方便的確定哪些需要耗費大量仿真時間的設計模組,通過對這些模組的集中分析驗證,Riviera可以使仿真時間明顯地縮短,可以幫助設計者定位、減少這種瓶頸。
針對大規模設計的仿真驗證,Riviera還提供了HES(硬體加速仿真系統)介面,設計師可以根據需要在將來和HES系統連接以進一步提高仿真的速度。
Riviera可以以多種方式運行,如GUI、Batch以及命令行等模式。Riviera有完整的軟體教程、實例,其教程和幫助檔完全是動畫和圖形的,所以很容易學習;Riviera是最容易上手的工具,人機界面非常友好,設計師一般只要半天就可完全掌握。Riviera支援Windows NT/2000、Linux、Unix等多種作業系統。
安裝說明
解壓縮,安裝;
將patch.exe復制到安裝目錄下的bin文件夾內,運行;
將license.dat復制到安裝目錄下的license文件夾內。

installation
__ __
; | Unpack and install. | ;
___| Copy patch.exe to installdir\bin and run. |___
/|_| Copy license.dat to installdir\license. |_|\
|_| Enjoy. |_|
. |

NFO:
代碼

\/
. /\ .
: / \ :
__ | / \ | __
/| %% | | %% |\
| %% | | %% |
.. | %% | | %% | ..
: | %% | | %% | :
______ _______ __ | : __
nerv \ \---/ \/ / | : ." /
_____ / / / / /_____ / /_____
/ \/ / / / / \/ / \
/ / / / / / / /
/ / / / / / / /
/_ /\ / / / / / / \
\/ \_______\_________\_________\___________\
__ __
/| : | %% | | %% | : |\
/ : | /% | | %\ | : \
__/____ : | /X : : X\ | : ____\__
/ _____ __ _ __ __ \
| | | | | | | | . | | .
`.| | `.|_.' `.|_.' `.|_.'
.' _ _
\_________________ : | [] | | [] | : _________________/
: |||| | | `._| [] | | [] |_.' | | |||| :
| [] | null | [] |
____|_______________________ |________| _______________________|____
___| | | |___
/|_|__|_ Riviera-PRO 2009.02 _|__|_|\
| | (c) Aldec | |
| |
| .. |
| 0-0293xx.zip .. filename : : type ...... util |
| March 06, 2009 ...... date : : language .. english |
| Windows ........ os : : disks ..... 31 |
| |
| ------------------------------------------------------------------ |
----------------------------------------------------------------------------
| |
| description |
.:. .:.
:.:. Riviera-PRO is a high-performance verification platform .:.:
`..: for ASIC and FPGA design teams, equipped with :..'
mixed-language simulation engine and advanced debugging
tools. Riviera-PRO supports Electronic System Level (ESL)
Verification with SystemC and SystemVerilog, Assertions
Based Verification (ABV), Transaction Level Modeling (TLM)
and VHDL/Verilog Linting. Riviera-PRO works in command
line mode for maximum speed and provides a powerful GUI
for enhanced editing, tracing, and debugging. Riviera-PRO
is compatible with popular EDA products such as Synopsys
SmartModels, Novas, Denali, MATLAB and Simulink.
installation
__ __
; | Unpack and install. |;
___| Copy patch.exe to installdir\bin and run. |___
/|_| Copy license.dat to installdir\license. |_|\
|_| Enjoy. |_|
. | | .
:... .. .. ...:
.:.:..: :.: Quality over Quantity! :.: :..:.:.
: __ __ :
--- ----- `. ------------ | | ------------ .' ---- ----
\__________________________ | _________________________________/
|
| :::: | teamnullokkz
| :::: |
|______|

下載鏈接來自IED2K
未經過安裝測試與安全檢測,使用者後果自負與本論壇無關
軟體版權歸原作者及其公司所有,如果你喜歡,請購買正版


相關資源:

免責聲明:本網站內容收集於互聯網,本站不承擔任何由於內容的合法性及健康性所引起的爭議和法律責任。如果侵犯了你的權益,請通知我們,我們會及時刪除相關內容,謝謝合作! 聯系信箱:[email protected]

Copyright © 電驢下載基地 All Rights Reserved