[www.ed2k.online]下載基地為您提供軟件、遊戲、圖書、教育等各種資源的ED2K電驢共享下載和MAGNET磁力鏈接下載。
設為首頁
加入收藏
首頁 圖書資源 軟件資源 游戲資源 教育資源 其他資源
 電驢下載基地 >> 教育资源 >> 學習課件 >> 《電子系統設計與測試》武漢理工大學網絡課程 資料下載
《電子系統設計與測試》武漢理工大學網絡課程 資料下載
下載分級 教育资源
資源類別 學習課件
發布時間 2017/7/14
大       小 -
《電子系統設計與測試》武漢理工大學網絡課程 資料下載 簡介: 資料介紹 相關專題學習資料: 電子技
電驢資源下載/磁力鏈接資源下載:
全選
"《電子系統設計與測試》武漢理工大學網絡課程 資料下載"介紹

資料介紹

相關專題學習資料:
  • 電子技術/通信技術
  • 教育學資料
  • 大學開放視頻課程

中文名: 電子系統設計與測試
版本: 武漢理工大學網絡課程
發行時間: 2006年
地區: 大陸
對白語言: 普通話
電子系統設計與測試 簡介:
thumttb.jpg
電子系統設計與測試 內容介紹:

《電子系統設計與測試》本教材是根據高等工科院校電子技術實踐教學大綱的基本要求編寫的。書中內容翔實,涉及各類電子系統設計方法、設計流程、常用傳感器及其應用、放大器的設計、濾波器的設計、模/數和數/模轉換器應用技術、可編程模擬器件和可編程邏輯器件原理及其開發軟件、單片機技術、電子系統工藝等,同時書中給出多個單元電路設計實例和綜合設計實例的詳細計算方法和設計步驟,最後還介紹了收音機、數字萬用表設計及裝焊調試技術。
《電子系統設計與測試》本書可作為高等院校電類和非電類專業本、專科學生電子系統實踐教學用書,亦可作為電視大學、職業大學、業余大學以及遠程教育、網絡教育中的電類和非電類專業的電子技術綜合實踐教學用書,還可作為參加全國大學生電子設計競賽學生的培訓教材,此外,對從事電子技術的工程技術人員,本書也是一本有益的參考書。
《電子系統設計與測試》為普通高等教育“十一五”國家級規劃教材,《電子系統設計與測試》書中內容詳實,包括:各類電子系統設計方法和設計流程,常用傳感器及其應用,放大器的設計,濾波器設計,模數和數模轉換器應用技術,可編程模擬器件和可編程邏輯器件原理及其開發;並詳細介紹VHDL硬件描述語言、電子系統的可靠性和電磁兼容性設計、電子系統工藝等。
電子系統設計與測試 課程講解目錄:
前言
第1章 測量誤差的分析與實驗數據處理
1.1 測量誤差分析
1.2 實驗數據的處理
第2章 電子線路基礎實驗
2.1 二極管的基本應用
2.2 集成運算放大器的基本應用
2.2 集成運算器的基本應用(續1)
2.2 集成運算器的基本應用(續2)
2.3 集成邏輯門及其應用
2.4 集成單穩觸發器及其應用
2.4 集成單穩觸發器及其應用(續)
2.5 集成電路定時器555/556及其應用
2.5 集成電路定時器555/556及其應用(續)
第3章 低頻電子線路設計
3.1 函數發生器的設計
3.1 函數發生器的設計(續)
3.2 集成直流穩壓電源的設計(自學)
回顧
3.3 RC有源濾波器的快速設計
3.3 RC有源濾波器的快速設計(續)
3.4 音響放大器設計
3.4 音響放大器設計(續)
回顧
第4章 數字邏輯電路設計
4.1 多功能數字鐘的設計
4.1 多功能數字鐘的設計(續1)
4.1 多功能數字鐘的設計(續2)
4.1 多功能數字鐘的設計(續3)
4.2 數字頻率計的設計
回顧
4.3 數字電壓表的設計
4.3 數字電壓表的設計(續)
回顧
第5章 可編程邏輯器件的開發與應用
5.1 ABEL語言簡介
5.1 ABEL語言簡介(續1)
5.1 ABEL語言簡介(續2)
5.1 ABEL語言簡介(續3)
5.2 開發軟件ispLEVER操作簡介
5.2 開發軟件ispLEVER
相關視頻課程:
武漢理工大學電子信息工程專業視頻課程
信號與系統
信息理論與編碼
數字信號處理
數字電子技術
模擬電子技術
電子系統設計與測試
計算機軟件基礎
通信原理概論
高頻電子線路
電子科技大學電子信息專業視頻課程
EDA技術
DSP技術
單片機(單片機接口技術)
電磁場與電磁波
電路分析基礎
電子測量原理(電子測量)
射頻模擬電路
模擬電子電路
數字電子技術基礎(數字邏輯電路)
光纖通信(光纖通信技術)
寬帶網絡技術(寬帶通信網)
移動通信系統
通信技術與系統
隨機信號分析
數字信號處理
網絡通信(原理)

相關資源:

免責聲明:本網站內容收集於互聯網,本站不承擔任何由於內容的合法性及健康性所引起的爭議和法律責任。如果侵犯了你的權益,請通知我們,我們會及時刪除相關內容,謝謝合作! 聯系信箱:[email protected]

Copyright © 電驢下載基地 All Rights Reserved